added varargs.h

tcc-xref
bellard 2002-11-24 15:59:16 +00:00
parent 5fac37b0a2
commit 2ec23ab858
1 changed files with 11 additions and 0 deletions

11
varargs.h 100644
View File

@ -0,0 +1,11 @@
#ifndef _VARARGS_H
#define _VARARGS_H
#include <stdarg.h>
#define va_dcl
#define va_alist __va_alist
#undef va_start
#define va_start(ap) ap = __builtin_varargs_start
#endif